CPLD 數位邏輯設計實務--使用 Quartus II 及 VHDL 語言設計, 2/e

楊明豐

  • 出版商: 碁峰資訊
  • 出版日期: 2014-12-25
  • 定價: $420
  • 售價: 7.9$332
  • 語言: 繁體中文
  • ISBN: 9863474649
  • ISBN-13: 9789863474647
  • 相關分類: 邏輯設計 Logic-design
  • 立即出貨 (庫存 < 5)

買這商品的人也買了...

商品描述

<內容特色>

CPLD入門實作經典,易學易用的初學指引!

‧由最簡單的【圖形編輯設計】開始學習數位邏輯電路設計,建立正確邏輯觀念。
‧快速建立【VHDL硬體描述語言】的設計基礎,運用常用的周邊元件完成應用電路製作。
‧使用電路圖元件擬真繪製,讓初學者可以【直覺式】迅速完成硬體接線。
‧【模組化、積木式】學習,輕鬆設定參數來控制周邊元件,發揮個人創意,完成專題作品。

從入門、應用到專題實作,
現在,就開始逐步的學好數位邏輯設計實務!

書中超過250個實用範例及練習,內容充實且應用生活化,只要結合本書部份範例,再加上自己的創意巧思,就能設計出有趣又好玩的專題電路。對於初學者來說,可以先閱讀各章的【相關知識】,然後從各章節的【應用範例】來驗證,最後再完成【課後練習】,以累積豐富的數位邏輯設計經驗。本書適合作為高職及大專院校電子、電機、資訊等系所【數位邏輯設計】、【數位電路設計】、【數位系統設計】等相關課程的教材,對於從事電子工程設計的科技人員也是一本極佳的參考用書。

‧入門花費少:書中硬體使用的CPLD晶片與下載線的價格不高,且軟體可免費使用。

‧學習最容易:由最簡單的圖形編輯設計開始學習數位邏輯設計,建立正確邏輯觀念。再以此為基礎,學習VHDL硬體描述語言。所有範例皆有詳細解說,透過step by step的方式學習,初學者可以更輕鬆的上手。

‧電路視覺化:使用電路圖元件擬真繪製,讓初學者可以快速依照圖示模擬,自行完成硬體接線。

‧程式模組化:每個周邊元件都以模組化程序(process)處理,可以方便設定參數來控制周邊元件,或是採積木式學習,結合本書部份process,以輕鬆完成專題作品。

‧內容多樣化:涵蓋基本邏輯閘、加法器、減法器、多工器、解多工器、比較器、正反器、暫存器、漣波計數器、同步計數器、狀態機、LED、矩陣型LED、七段顯示器、LCD顯示器、蜂鳴器、指撥開關、按鍵開關、矩陣鍵盤、步進馬達、直流馬達、伺服馬達、溫度感測器等,超過250個實用數位邏輯設計範例。

‧應用生活化:生活化的單元教學設計,除了能提高學習興趣之外、也能激發創意與想像力。內容包含LED移位、LED閃爍、LED交通號誌燈、上/下數計數器、數位時鐘、LCD字幕機、電梯面板顯示器、智慧型風扇、數位電壓表、數位溫度計、電子琴、音樂盒、馬達轉速及轉向控制等。

<作者介紹>

楊明豐

近30年電子電機背景的豐厚資歷,擁有許多相關暢銷著作。
致力編寫出讓初學者可以更快入門,並逐步具備紮實能力的好書。

【證照】
‧儀表甲級技術士
‧儀表乙級技術士
‧工業電子丙級技術士監評
‧國際技能競賽中華民國技能競賽裁判

【著作】
‧Arduino最佳入門與應用
‧8051入門--C語言輕鬆學
‧8051入門--組合語言輕鬆學(第三版)
‧數位邏輯(含實習)總複習
‧電子學(含實習)滿分總複習(上)(下)
‧CPLD數位邏輯設計實務
‧電子學實習I、II攻略本
‧基本電學I、II
‧基本電學I、II應考攻略手冊
‧8051單晶片C語言設計實務第2版
‧8051單晶片設計實務—組合語言版
‧電子學I、II攻略本
‧數位邏輯實習
‧數位邏輯
‧電子學I、II
‧電子實習I、II
‧80x86組合語言
‧8051單晶片設計實務
‧計算機概論與微電腦實習考前指引
‧8051單晶片實作入門與應用

<章節目錄>

01 認識CPLD
1-1 何謂CPLD
1-2 Quartus II軟體下載與安裝
1-3 安裝USB-Blaster燒錄驅動程式(Windows 7)

02 圖形編輯設計
2-1 圖形編輯快速入門—半加法器
2-2 圖形編輯階層式設計—全加法器
2-3 圖形編輯階層式設計—四位元並列加法器

03 VHDL語言基礎
3-1 認識VHDL
3-2 VHDL的基本架構
3-3 VHDL的資料型態
3-4 VHDL的運算子
3-5 VHDL的資料物件
3-6 VHDL的敘述語法

04 基本邏輯閘
4-1 認識邏輯閘
4-2 及閘
4-3 或閘
4-4 反閘
4-5 反及閘
4-6 反或閘
4-7 互斥或閘
4-8 互斥反或閘

05 組合邏輯電路
5-1 認識組合邏輯輯
5-2 加法器
5-3 減法器
5-4 解碼器
5-5 編碼器
5-6 多工器
5-7 解多工器
5-8 比較器

06 正反器
6-1 認識正反器
6-2 RS閂鎖器
6-3 RS正反器
6-4 JK正反器
6-5 D型正反器
6-6 T型正反器

07 順序邏輯電路
7-1 認識順序邏輯
7-2 計時脈波
7-3 暫存器
7-4 計數器
7-5 狀態機

08 LED控制實習
8-1 認識發光二極體
8-2 LED單燈右移實習
8-3 LED單燈左右移實習
8-4 LED交通號誌燈實習

09 開關控制實習
9-1 認識開關
9-2 指撥開關控制LED亮與暗實習
9-3 指撥開關控制LED閃爍與暗實習
9-4 指撥開關控制LED移位速度實習
9-5 指撥開關控制LED變化實習
9-6 按鍵開關控制LED移位方向實習
9-7 按鍵開關控制LED變化實習
9-8 矩陣鍵盤控制LED亮與暗實習

10 七段顯示器實習
10-1 認識七段顯示器
10-2 一位七段顯示器計數0~9實習
10-3 四位七段顯示器計數0000~9999實習
10-4 電子鐘實習
10-5 按鍵控制一位七段顯示器顯示0~9實習
10-6 按鍵控制四位七段顯示器顯示0000~9999實習
10-7 矩陣鍵盤控制四位七段顯示器實習

11 矩陣型LED實習
11-1 認識矩陣型LED
11-2 矩陣型LED顯示靜態字元實習
11-3 矩陣型LED顯示靜態字串實習
11-4 按鍵控制矩陣型LED顯示動態字元實習
11-5 按鍵控制矩陣型LED顯示動態字串實習
11-6 按鍵控制矩陣型LED顯示00~99實習

12 液晶顯示器實習
12-1 認識液晶顯示器
12-2 LCM顯示內建字型實習
12-3 LCM顯示自建字型實習
12-4 按鍵控制LCM顯示字串移位變化實習

13 馬達控制實習
13-1 認識馬達
13-2 開關控制直流馬達轉速及轉向實習
13-3 智慧型風扇實習
13-4 按鍵控制標準型伺服馬達轉向實習
13-5 按鍵控制連續旋轉型伺服馬達轉向實習
13-6 按鍵控制步進馬達轉向實習
13-7 按鍵控制步進馬達轉速實習
13-8 44矩陣鍵盤控制步進馬達轉向及步進數實習

14 感測器實習
14-1 認識類比 / 數位轉換器
14-2 類比 / 數位轉換實習
14-3 5V直流數位電壓表實習
14-4 LM35數位溫度計實習
14-5 AD590數位溫度計實習

15 聲音控制實習
15-1 認識聲音
15-2 單音產生器實習
15-3 救護車聲音產生器實習
15-4 電子琴實習
15-5 電子音樂盒實習

附錄A Byte-Blaster燒錄器(本單元為PDF格式,請見書附光碟)
A-1 Byte-Blaster燒錄器製作
A-2 44-Pin / 84-Pin PLCC

附錄B MAX+PLUS II軟體下載與安裝(本單元為PDF格式,請見書附光碟)
B-1 MAX+PLUS II軟體下載與安裝(Windows XP)
B-2 MAX+PLUS II的License設定(Windows XP)
B-3 Byte-Blaster燒錄驅動程式安裝(Windows XP)

附錄C MAX+PLUS II軟體使用說明(本單元為PDF格式,請見書附光碟)
C-1 圖形編輯快速入門

<超值加贈>

‧全書範例程式檔
‧附錄PDF電子檔:
Byte-Blaster燒錄器製作
MAX+PLUS II軟體下載與安裝設定
MAX+PLUS II圖形編輯快速入門
‧Quartus II、MAX+PLUS II工具