數位邏輯設計與晶片實務 (Verilog), 2/e

劉紹漢

買這商品的人也買了...

相關主題

商品描述

本書主要為幫助初學者加深對Verilog語言的特性了解,進而利用它們設計出各種電子消費產品的控制電路等。本書將整個硬體描述語言依其特性與前後順序編寫成七個章節;第一章:介紹整個數位邏輯電路設計的發展過程,第二章:介紹Verilog語言能合成出邏輯電路的各種運算及討論其優先順序,第三章:敘述資料流描述與各種組合電路的設計,第四章:介紹行為模式敘述與各種序向電路的設計,第五章:介紹結構化、模組化的元件設計,第六章:介紹編譯器指令的特性與使用方法,第七章:各種控制電路設計的電路與實例。本書適用於科大資工、電子及電機系「硬體描述語言實務(Verilog)」、「Verilog設計實務」課程使用。 

目錄大綱

第一章 數位邏輯電路設計的沿革與實現;verilog的程式結構、資料型態、描述風格、識別字與保留字     1-1
1-1    數位邏輯電路設計的沿革    1-1
1-2    數位邏輯控制電路的實現方式    1-9
1-3    晶片規劃方式    1-10
1-4    verilog硬體描述語言    1-12
1-5    晶片設計流程    1-14
1-6    verilog語言的程式結構    1-16
1-7    註解欄comment    1-19
1-8    連接埠port    1-19
1-9    資料型態data type    1-21
1-10    數值資料表示法    1-35
1-11    verilog語言的四種描述風格    1-38
1-12    識別字與保留字    1-43
第二章 可以用於電路合成的verilog運算    2-1
2-1    持續指定continuous assignment    2-1
2-2    算術運算arithmetic    2-6
2-3    移位運算shift    2-10
2-4    邏輯位元運算logical bitwise    2-12
2-5    邏輯精簡運算logical reduction    2-15
2-6    關係運算relation    2-18
2-7    邏輯事件運算logical event    2-23
2-8    條件運算condition    2-26
2-9    連結與複製運算    2-32
2-10    verilog各種運算的優先順序    2-36
第三章 資料流描述與組合電路    3-1
3-1    共時性與順序性    3-1
3-2    以持續指定描述真值表    3-2
3-3    以條件敘述實現組合電路    3-6
3-4    結論    3-12
第四章 行為模式敘述與序向電路    4-1
4-1    順序性與序向電路    4-1
4-2    always區塊敘述    4-2
4-3    if敘述    4-7
4-4    case敘述    4-28
4-5    程序指定procedural assignment    4-42
4-6    各種計數器    4-47
4-7    各種移位與旋轉暫存器    4-56
4-8    SN74xxx系列的晶片設計    4-60
第五章 元件、迴圈、函數與任務    5-1
5-1    結構化與模組化    5-1
5-2    元件component    5-2
5-3    重複性敘述    5-12
5-4    函數function    5-21
5-5    任務task    5-25
第六章 編譯器指令與狀態機器    6-1
6-1    編譯器指令compiler directives    6-1
6-2    Moore與Mealy狀態機    6-17
第七章 各種控制電路設計與應用實例    7-1
7-1    LED顯示控制電路篇    7-4
    1. 多點輸出除頻電路    7-7
    2. 精準 1Hz 頻率產生器    7-11
    3. 自動改變速度與方向的旋轉移位控制電路    7-14
    4. 速度可以改變的霹靂燈控制電路    7-19
    5. 以建表方式的廣告燈控制電路    7-23
    6. 八種變化的廣告燈控制電路    7-28
7-2    掃描式七段顯示電路控制篇    7-35
    1. 一個位數 BCD 上算計數顯示電路    7-39
    2. 兩個位數 00~59 上算計數顯示電路    7-45
    3. 六個位數時、分、秒精準的時鐘顯示電路    7-52
    4. 兩個位數 30~00 下算計數顯示,低於 6 時
      LED 閃爍電路    7-63
    5. 兩個位數上算與下算計數器多工顯示電路    7-70
    6. 唯讀記憶體 ROM 的位址與內容顯示電路    7-80
    7. 速度、方向自動改變並顯示其動作狀況的
      廣告燈電路    7-87
7-3    指撥開關電路控制篇    7-93
    1. 八個指撥開關的電位狀態顯示    7-95
    2. 將一個指撥開關的電位移入暫存器內並顯示
      在 LED 上    7-97
    3. 以兩個指撥開關控制廣告燈的旋轉速度與方向    7-101
    4. 以一個指撥開關控制計數器的上、下算計數
      顯示    7-105
    5. 以八個指撥開關 (兩個 BCD 值),設定計數
      器的起始計數值    7-111
7-4    彩色 LED 點矩陣顯示電路控制篇    7-116
    1. 固定一個紅色字型顯示    7-119
    2. 不斷重覆固定十六個黃色字型顯示    7-124
    3. 不斷重覆由下往上移位十四個黃色字型顯示    7-132
    4. 紅綠燈速度可變行動小綠人顯示    7-137
    5. 多樣化紅色動態圖形顯示    7-145
7-5    鍵盤編碼與顯示電路控制篇    7-156
    1. 顯示一個按鍵碼在七段顯示電路    7-159
    2. 以滾動方式顯示六個按鍵碼在七段顯示電路    7-169
    3. 顯示一個按鍵碼在彩色 LED 點矩陣電路    7-176
    4. 顯示按鍵碼並設定 LED ON 的數量    7-184
    5. 顯示按鍵碼並設定八種變化的廣告燈    7-190